Из VHDL в FPGA

Ответить
boov
beginner
beginner
Сообщения: 17
Зарегистрирован: 28 сен 2008, 23:33
Версия LabVIEW: 8.5
Контактная информация:

Из VHDL в FPGA

Сообщение boov »

Приветствую.

Как я понял, есть две возможности импортировать HDL-код в FPGA:

- Use HDL Interface Node;
- Use component-level IP (CLIP).

Если можно, объясните подробно в чем разница между этими двумя подходами.

Спасибо.
всё, что случается, имеет причину...
boov
beginner
beginner
Сообщения: 17
Зарегистрирован: 28 сен 2008, 23:33
Версия LabVIEW: 8.5
Контактная информация:

Re: Из VHDL в FPGA

Сообщение boov »

Может кому-то пригодится в будущем.
О различиях между CLIP и HDL-Node можно почитать здесь
http://digital.ni.com/public.nsf/allkb/ ... 0000011FB5
всё, что случается, имеет причину...
Ответить
  • Похожие темы
    Ответы
    Просмотры
    Последнее сообщение

Вернуться в «Real Time / FPGA / Embedded»